Search:

Type: Posts; User: magnum38

Search: Search took 0.01 seconds.

  1. Replies
    4
    Views
    4,647

    Thanks

    Hi, thanks a lot. I like the idea.
    Have a nice day.
  2. Replies
    4
    Views
    4,647

    Checkerboard pattern

    what is could produce is as below:
    ------------------------------------------


    program chess;
    #include("stdlib.hhf");
    static
    s:char := 'x';
    e:char := 'o';
    z:int32;
  3. Replies
    2
    Views
    1,704

    Hi there, Thanks for giving me the green light...

    Hi there,
    Thanks for giving me the green light to ask for help.

    Currently i have think out an algorithm for the hexadecimals problem.
    Which is:

    -First i make a database like this for the...
  4. Replies
    2
    Views
    1,704

    Prolog inquiry

    Hi all,
    Hopefully you all didn't mind if i ask some prolog question at here. Cos i finds that this board is the place that i can find the experience and best programmer from others site.

    Ya,...
  5. Replies
    0
    Views
    2,735

    perl need help pls.....

    hi there,
    do anyone know between the cgi-lib.pl and cgi-lib.pm which one is better for the perl library. Please advice.

    And, do anyone have the site that can download the cgi-lib.pl? cos i have...
  6. Replies
    3
    Views
    3,911

    thanks to all. the info. have help me out. thanx...

    thanks to all.
    the info. have help me out. thanx again.
  7. Replies
    3
    Views
    3,911

    learning RPG AS/400

    hi there,
    does some one know from where i can find the information about teach us to programming in the AS/400. I have try find some site, but they all related selling the product rather than the...
Results 1 to 7 of 7