Jus a nitpick, but you have things backwards - case 0 is printing 'one' and case 1 is printing 'zero' in the "fixed" code.